Transistors Need A Change

Transistors Need A Change – Membrane Coating Machine – Cheersonic

The semiconductor industry is making its first major change to a new transistor type in more than a decade, moving toward a next-generation structure called gate-all-around (GAA) FETs.

Although GAA transistors have yet to ship, many industry experts wonder how long the technology will be delivered — and the new architecture that will take over from there. According to various roadmaps, today’s GAA structure should execute and scale before the product’s three technology nodes are exhausted, barring major delays.

Transistors Need A Change – Membrane Coating Machine - Cheersonic

In addition to this, the industry is evaluating several transistor candidates, but each has a technology gap. Even developing a product candidate to successfully extend CMOS FETs for a decade requires significant resources and innovation.

In the short term, though, the industry has a clear path to migrate to the highest performing chips. Traditionally, to advance new chips, IC suppliers have developed a system-on-a-chip (SoC) and then crammed more transistors into each device generation. Transistors are key components in chips that act like switches in a device.

This formula is called chip scaling, and as long as the industry can develop new faster transistors that consume the same or less power, each chip will cost roughly the same. Since 2011, suppliers have been selling chips based on an advanced type of transistor called finFETs. However, finFETs will soon be approaching their limits, prompting the need for new technologies at the 3nm and/or 2nm process nodes. (Node refers to the performance specifications, process technology, and design rules of a generation of technology. Process technology is the recipe used to make chips in a fab. The chip industry started referring to nodes beyond 2nm as angstrom nodes.)

At 2nm and 3nm, leading foundries and their customers will eventually migrate to GAA transistor types called nanosheet FETs. GAA FETs offer higher performance at lower power than finFETs, but they are more expensive to design and manufacture.

Engineers know that even today’s GAA designs will eventually run into performance limitations. The industry is planning ahead by evaluating several future transistor types beyond 2nm, including 2D devices, carbon nanotube FETs, CFETs, fork-plate FETs, and vertical transfer FETs. So far, there is little consensus on 2nm.

While these novel structures can offer amazing electrical properties, they are difficult to fabricate. As a result, most people never move from the lab to the fab. In reality, the industry can only support one transistor candidate.

This is not the only consideration. “(We have) new transistor architectures,” Intel senior director and chief engineer Zhongxun Lin said in a speech at the recent IEDM conference. “In addition to the technology on the transistor side, there are new architectures involved, such as new power delivery systems. Also, there’s packaging, which is popular and important.”

In fact, chiplets are an advanced form of packaging that has caused a stir for a number of reasons. With chiplets, the die design is broken down into smaller dies, and once fabricated and diced, they are reassembled into an advanced package. The chiplet approach speeds time-to-market, increases yield, and potentially reduces costs. As pitch scaling becomes more difficult and expensive, stacked chiplet designs become a compelling solution for specific high-performance applications.

All in all, a holistic approach to optimizing performance from transistors to systems becomes necessary to keep the performance pace of the industry.

Cheersonic is the leading developer and manufacturer of ultrasonic coating systems for applying precise, thin film coatings to protect, strengthen or smooth surfaces on parts and components for the microelectronics/electronics, alternative energy, medical and industrial markets, including specialized glass applications in construction and automotive.